I2C温度計その3

##Bank = 34, Pin name = IO_L5P_T0_34, Sch name = LED16_R set_property PACKAGE_PIN K5 [get_ports {colorLed_1[2]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {colorLed_1[2]}]

##Bank = 15, Pin name = IO_L5P_T0_AD9P_15, Sch name = LED16_G set_property PACKAGE_PIN F13 [get_ports {colorLed_1[1]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {colorLed_1[1]}]

##Bank = 35, Pin name = IO_L19N_T3_VREF_35, Sch name = LED16_B set_property PACKAGE_PIN F6 [get_ports {colorLed_1[0]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {colorLed_1[0]}]

##Bank = 34, Pin name = IO_0_34, Sch name = LED17_R set_property PACKAGE_PIN K6 [get_ports {colorLed_2[2]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {colorLed_2[2]}]

##Bank = 35, Pin name = IO_24P_T3_35, Sch name = LED17_G set_property PACKAGE_PIN H6 [get_ports {colorLed_2[1]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {colorLed_2[1]}]

##Bank = CONFIG, Pin name = IO_L3N_T0_DQS_EMCCLK_14, Sch name = LED17_B set_property PACKAGE_PIN L16 [get_ports {colorLed_2[0]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {colorLed_2[0]}]

##7 segment display

##Bank = 34, Pin name = IO_L2N_T0_34, Sch name = CA set_property PACKAGE_PIN L3 [get_ports {sSegCathode?[7]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegCathode[7]}]

##Bank = 34, Pin name = IO_L3N_T0_DQS_34, Sch name = CB set_property PACKAGE_PIN N1 [get_ports {sSegCathode?[6]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegCathode[6]}]

##Bank = 34, Pin name = IO_L6N_T0_VREF_34, Sch name = CC set_property PACKAGE_PIN L5 [get_ports {sSegCathode?[5]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegCathode[5]}]

##Bank = 34, Pin name = IO_L5N_T0_34, Sch name = CD set_property PACKAGE_PIN L4 [get_ports {sSegCathode?[4]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegCathode[4]}]

##Bank = 34, Pin name = IO_L2P_T0_34, Sch name = CE set_property PACKAGE_PIN K3 [get_ports {sSegCathode?[3]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegCathode[3]}]

##Bank = 34, Pin name = IO_L4N_T0_34, Sch name = CF set_property PACKAGE_PIN M2 [get_ports {sSegCathode?[2]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegCathode[2]}]

##Bank = 34, Pin name = IO_L6P_T0_34, Sch name = CG set_property PACKAGE_PIN L6 [get_ports {sSegCathode?[1]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegCathode[1]}]

##Bank = 34, Pin name = IO_L16P_T2_34, Sch name = DP set_property PACKAGE_PIN M4 [get_ports sSegCathode?[0]]

	set_property IOSTANDARD LVCMOS33 [get_ports sSegCathode[0]]

##Bank = 34, Pin name = IO_L18N_T2_34, Sch name = AN0 set_property PACKAGE_PIN N6 [get_ports {sSegAnode?[0]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegAnode[0]}]

##Bank = 34, Pin name = IO_L18P_T2_34, Sch name = AN1 set_property PACKAGE_PIN M6 [get_ports {sSegAnode?[1]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegAnode[1]}]

##Bank = 34, Pin name = IO_L4P_T0_34, Sch name = AN2 set_property PACKAGE_PIN M3 [get_ports {sSegAnode?[2]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegAnode[2]}]

##Bank = 34, Pin name = IO_L13_T2_MRCC_34, Sch name = AN3 set_property PACKAGE_PIN N5 [get_ports {sSegAnode?[3]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegAnode[3]}]

##Bank = 34, Pin name = IO_L3P_T0_DQS_34, Sch name = AN4 set_property PACKAGE_PIN N2 [get_ports {sSegAnode?[4]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegAnode[4]}]

##Bank = 34, Pin name = IO_L16N_T2_34, Sch name = AN5 set_property PACKAGE_PIN N4 [get_ports {sSegAnode?[5]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegAnode[5]}]

##Bank = 34, Pin name = IO_L1P_T0_34, Sch name = AN6 set_property PACKAGE_PIN L1 [get_ports {sSegAnode?[6]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegAnode[6]}]

##Bank = 34, Pin name = IO_L1N_T034, Sch name = AN7 set_property PACKAGE_PIN M1 [get_ports {sSegAnode?[7]}]

	set_property IOSTANDARD LVCMOS33 [get_ports {sSegAnode[7]}]

##Buttons

##Bank = 15, Pin name = IO_L3P_T0_DQS_AD1P_15, Sch name = CPU_RESET

#set_property PACKAGE_PIN C12 [get_ports btnCpuReset?]

	#set_property IOSTANDARD LVCMOS33 [get_ports btnCpuReset]

##Bank = 15, Pin name = IO_L11N_T1_SRCC_15, Sch name = BTNC set_property PACKAGE_PIN E16 [get_ports bc]

	set_property IOSTANDARD LVCMOS33 [get_ports bc]

##Bank = 15, Pin name = IO_L14P_T2_SRCC_15, Sch name = BTNU set_property PACKAGE_PIN F15 [get_ports bu]

	set_property IOSTANDARD LVCMOS33 [get_ports bu]

##Bank = CONFIG, Pin name = IO_L15N_T2_DQS_DOUT_CSO_B_14, Sch name = BTNL set_property PACKAGE_PIN T16 [get_ports bl]

	set_property IOSTANDARD LVCMOS33 [get_ports bl]

##Bank = 14, Pin name = IO_25_14, Sch name = BTNR set_property PACKAGE_PIN R10 [get_ports br]

	set_property IOSTANDARD LVCMOS33 [get_ports br]

##Bank = 14, Pin name = IO_L21P_T3_DQS_14, Sch name = BTND set_property PACKAGE_PIN V10 [get_ports bd]

	set_property IOSTANDARD LVCMOS33 [get_ports bd]

##Temperature Sensor

##Bank = 15, Pin name = IO_L14N_T2_SRCC_15, Sch name = TMP_SCL set_property PACKAGE_PIN F16 [get_ports scl]

	set_property IOSTANDARD LVCMOS33 [get_ports scl]

##Bank = 15, Pin name = IO_L13N_T2_MRCC_15, Sch name = TMP_SDA set_property PACKAGE_PIN G16 [get_ports sda]

	set_property IOSTANDARD LVCMOS33 [get_ports sda]

##Bank = 15, Pin name = IO_L1P_T0_AD0P_15, Sch name = TMP_INT

#set_property PACKAGE_PIN D14 [get_ports tmpInt]

	#set_property IOSTANDARD LVCMOS33 [get_ports tmpInt]

##Bank = 15, Pin name = IO_L1N_T0_AD0N_15, Sch name = TMP_CT

#set_property PACKAGE_PIN C14 [get_ports tmpCT]

	#set_property IOSTANDARD LVCMOS33 [get_ports tmpCT]
	

##Pmod Header JC

##Bank = 35, Pin name = IO_L23P_T3_35, Sch name = JC1 set_property PACKAGE_PIN K2 [get_ports {jc[0]}]

       set_property IOSTANDARD LVCMOS33 [get_ports {jc[0]}]

##Bank = 35, Pin name = IO_L6P_T0_35, Sch name = JC2 set_property PACKAGE_PIN E7 [get_ports {jc[1]}]

       set_property IOSTANDARD LVCMOS33 [get_ports {jc[1]}]

##Bank = 35, Pin name = IO_L22P_T3_35, Sch name = JC3 set_property PACKAGE_PIN J3 [get_ports {jc[2]}]

       set_property IOSTANDARD LVCMOS33 [get_ports {jc[2]}]

##Bank = 35, Pin name = IO_L21P_T3_DQS_35, Sch name = JC4 set_property PACKAGE_PIN J4 [get_ports {jc[3]}]

       set_property IOSTANDARD LVCMOS33 [get_ports {jc[3]}]

##Bank = 35, Pin name = IO_L23N_T3_35, Sch name = JC7 set_property PACKAGE_PIN K1 [get_ports {jc[4]}]

       set_property IOSTANDARD LVCMOS33 [get_ports {jc[4]}]

##Bank = 35, Pin name = IO_L5P_T0_AD13P_35, Sch name = JC8 set_property PACKAGE_PIN E6 [get_ports {jc[5]}]

       set_property IOSTANDARD LVCMOS33 [get_ports {jc[5]}]

##Bank = 35, Pin name = IO_L22N_T3_35, Sch name = JC9 set_property PACKAGE_PIN J2 [get_ports {jc[6]}]

       set_property IOSTANDARD LVCMOS33 [get_ports {jc[6]}]

##Bank = 35, Pin name = IO_L19P_T3_35, Sch name = JC10 set_property PACKAGE_PIN G6 [get_ports {jc[7]}]

       set_property IOSTANDARD LVCMOS33 [get_ports {jc[7]}]

トップ   新規 一覧 単語検索 最終更新   ヘルプ   最終更新のRSS